blob: a539b2df95bd99df2ae8eacbcdfb757edc4a1682 [file] [log] [blame]
switch (VARIABLE) {
case CASE_1 :
case CASE_3 :
_foo = false;
doSomething1();
doSomething2();
doSomething3();
break;
case CASE_2 :
_foo = true;
doSomething4();
break;
}