blob: 283740f69551ba4117aed59bbd816149db1a813d [file] [log] [blame]
switch (VARIABLE)
{
case CASE_1 :
_foo= false;
doSomething1();
doSomething2();
doSomething3();
break;
case CASE_2 :
_foo= true;
doSomething4();
break;
default:
}