blob: 78c196ee030fee3cf7c96f9ec59bb8eeabc6bad1 [file] [log] [blame]
switch (VARIABLE) {
case CASE_1 :
_foo = false;
doSomething1();
doSomething2();
doSomething3();
break;
case CASE_2 :
_foo = true;
doSomething4();
break;
}