blob: 596ca54410f9f8ad5fd6df96275c133a953e4a41 [file] [log] [blame]
module module1
end module