blob: f8a6d449247d171f0e575f41923637ca12613c78 [file] [log] [blame]
program test
use module, only: i, helper
use emptyModule, only: help !<<<<< 3, 9, 3, 20, fail-initial
implicit none
end program test