blob: e79a448f552f143986d48958842565628e06084e [file] [log] [blame]
RULE_ID=4
T__24=24
T__23=23
T__22=22
RULE_ANY_OTHER=11
T__21=21
T__20=20
RULE_SL_COMMENT=9
RULE_ML_COMMENT=8
T__19=19
RULE_STRING=7
T__16=16
T__15=15
T__18=18
T__17=17
T__12=12
RULE_NUMBER=5
T__14=14
T__13=13
RULE_INT=6
RULE_WS=10
'/'=24
'def'=16
'module'=12
';'=21
'('=17
':'=20
'*'=15
'-'=23
'+'=22
','=18
'.'=14
')'=19
'import'=13