blob: 5129d2d522a56408e8ae9e2be6b5628017768698 [file] [log] [blame]
switch (VARIABLE) {
case CASE_1 :
case CASE_3 :
_foo = false;
doSomething1();
doSomething2();
doSomething3();
default :
_foo = true;
doSomething4();
break;
}