blob: 800b831feeccd7ea02d35aac80d3698009241db0 [file] [log] [blame]
switch (VARIABLE)
{
case CASE_1 :
_foo= false;
doSomething1();
doSomething2();
doSomething3();
break;
case CASE_2 :
_foo= true;
doSomething4();
break;
default:
}