blob: 3812a045796eb66bb7c002eab2ca0fe2333e14b3 [file] [log] [blame]
switch (VARIABLE) {
case CASE_1 :
_foo = false;
doSomething1();
doSomething2();
doSomething3();
break;
case CASE_2 :
_foo = true;
doSomething4();
break;
}