blob: 74b2094e9e1979d98724575604aafcec7fcc00d0 [file] [log] [blame]
switch (VARIABLE) {
case CASE_1 :
_foo = false;
doSomething1();
doSomething2();
doSomething3();
break;
case CASE_2 :
_foo = true;
doSomething4();
break;
default :
}