blob: 31022dfcda0cf5ce60083fc37b5bd5e84c65e3f6 [file] [log] [blame]
'*'=20
','=19
'-'=18
'..'=28
':'=24
'::'=27
';'=26
'<Undefined>'=29
'='=25
'CommObject'=15
'DataType'=14
'['=16
']'=17
'attribute'=23
'{'=21
'}'=22
RULE_ANY_OTHER=13
RULE_DOUBLE=6
RULE_ID=4
RULE_INT=5
RULE_INTEGER_VALUE=11
RULE_ML_COMMENT=9
RULE_SL_COMMENT=10
RULE_STRING=8
RULE_VSL_COMMENT=7
RULE_WS=12
T__14=14
T__15=15
T__16=16
T__17=17
T__18=18
T__19=19
T__20=20
T__21=21
T__22=22
T__23=23
T__24=24
T__25=25
T__26=26
T__27=27
T__28=28
T__29=29